Remove warning

This commit is contained in:
Andy Cedilnik 2003-03-06 12:31:01 -05:00
parent 814b8856a0
commit 3a99c693c1
1 changed files with 1 additions and 1 deletions

View File

@ -26,7 +26,7 @@ bool cmGetCMakePropertyCommand::InitialPass(
return false;
}
int cc;
std::vector<std::string>::size_type cc;
std::string variable = args[0];
std::string output = "";